2002


Papers

  1. Takao Tobita, Hironori Kasahara, ``Performance Evaluation of Minimum Execution Time Multiprocessor Scheduling Algorithms Using Standard Task Graph Set'', Trans. of IPSJ, Vol. 43, No. 4, Apr., 2002. (pdf)
  2. Kazuhisa Ishizaka, Hirofumi Nakano, Satoshi Yagi, Motoki Obata, Hironori Kasahara, ``Coarse Grain Task Parallel Processing with Cache Optimization on Shared Memory Multiprocessor'', Trans. of IPSJ, Vol. 43, No. 4, Apr., 2002. (pdf)
  3. Hirofumi Nakano, Kazuhisa Ishizaka, Motoki Obata, Keiji Kimura, Hironori Kasahara, ``Static Coarse Grain Task Scheduling with Cache Optimization Using OpenMP'', Proc. of WOMPEI, 2002. (pdf)
  4. Motoki Obata, Jun Shirako, Hiroki Kaminaga, Kazuhisa Ishizaka, Hironori Kasahara, ``Hierarchical Parallelism Control for Multigrain Parallel Processing'', Proc. of 15th International Workshop on Languages and Compilers for Parallel Computing (LCPC2002), Aug., 2002. (pdf)
  5. H. Kasahara, M. Obata, K. Ishizaka, K. Kimura, H. Kaminaga, H. Nakano, K. Nagasawa, A. Murai, H. Itagaki and J. Shirako, ``Multigrain Automatic Parallelization in Japanese Millenium Project IT21 Advanced Parallelizing Compiler'', Proc. of IEEE PARELEC (IEEE International Conference on Parallel Computing in Electrical Engineering), Warsaw, Poland, Sep., 2002. (See also Invited Talks)
  6. Takeshi Kodaka, Takayuki Uchida, Keiji Kimura, Hironori Kasahara, ``JPEG Encoding Using Multigrain Parallel Processing on a Single Chip Multiprocessor'', Trans. of IPSJ on High Performance Computing Systems, pp. 153--162, Vol. 43, No. Sig. 6 (HPS5), Sep., 2002 (pdf)
  7. Takao Tobita, Hironori Kasahara, ``A standard task graph set for fair evaluation of multiprocessor scheduling algorithms'', Journal of scheduing, John Wiley & Sons Ltd, Oct., 2002.
  8. Hironori Kasahara, Motoki Obata, Kazuhisa Ishizaka, Keiji Kimura, Hiroki Kaminaga, Hirofumi Nakano,Kouhei Nagasawa, Akiko Murai, Hiroki Itagaki, Jun Shirako ``Performance of Multigrain Parallelization in Japanese Millennium Project IT21 Advanced Parallelizing Compiler'', Proc. of 10th International Workshop on Compilers for Parallel Computers (CPC) Amsterdam, Netherland, January 2003.
  9. Keiji Kimura, Takeshi Kodaka, Motoki Obata, Hironori Kasahara, ``Multigrain Parallel Processing on OSCAR CMP'', Proc. of International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA'03), Jan., 2003.

Symposium

  1. Takeshi Kodaka, Takayuki Uchida, Keiji Kimura, Hironori Kasahara, ``JPEG Encoding using Multigrain Parallel Processing on a Shingle Chip Multiprocessor'', Joint Symposium on Parallel Processing 2002 (JSPP2002), May., 2002. (pdf)

Technical Reports

  1. Yasutaka Wada, Hirofumi Nakano, Keiji Kimura, Motoki Obata, Hironori Kasahara, ``Evaluation of Overhead with Coarse Grain Task Parallel Processing on SMP Machines'', Technical Report of IPSJ, ARC2002-148-3, May., 2002. (pdf)
  2. Jun Shirako, Hiroki Kaminaga, Noriaki Kondo, Kazuhisa Ishizaka, Motoki Obata, Hironori Kasahara, ``Coarse Grain Task Parallel Processing with Automatic Determination Scheme of Parallel Processing Layer'', Technical Report of IPSJ, ARC2002-148-4, May., 2002. (pdf)
  3. Motoki Obata, Jun Shirako, Kazuhisa Ishizaka, Hironori Kasahara, ``Performance of OSCAR Multigrain Parallelizing Compiler on SMPs'', Technical Report of IPSJ, ARC2002-149-20(SWoPP2002), Aug., 2002. (pdf)
  4. Kazuhisa Ishizaka, Hirofumi Nakano, Motoki Obata, Hironori Kasahara, ``Cache Optimization among Coarse Grain Tasks considering Line Conflict Miss'', Technical Report of IPSJ, ARC2002-149-25(SWoPP2002), Aug., 2002.
  5. Takeshi Kodaka, Takahisa Suzuki, Keiji Kimura, Hironori Kasahara, ``Multigrain Parallel Processing on Motion Vector Estimation for Single Chip Multiprocessor'' Technical Report of IPSJ, ARC2002-150-6, Nov, 2002. (pdf)
  6. Keiji Kimura, Takeshi Kodaka, Motoki Obata, Hironori Kasahara, ``Multigrain Parallel Processing on OSCAR Chip Multiprocessor'', Technical Report of IPSJ, ARC2002-150-7, Nov, 2002. (pdf)
  7. Jun Shirako, Kouhei Nagasawa, Kazuhisa Ishizaka, Motoki Obata, Hironori Kasahara, ``Inline Expansion for Improvement of Multi Grain Parallelism'', Technical Report of IPSJ, ARC2003-151-2 (SHINING2003), Jan., 2003. (pdf)
  8. Hirofumi Nakano, Takeshi Kodaka, Keiji Kimura, Hironori Kasahara, ``Data Localization using Coarse Grain Task Parallelism on Chip Multiprocessor'', Technical Report of IPSJ, ARC2003-151-3 (SHINING2003), Jan., 2003. (pdf)

Invited talks

  1. ``Multigrain Parallel Processing in Millennium Project IT21 Advanced Parallelizing Compiler'', Sig. on Autonomous Distributed Systems, Nagoya University, Aug. 30,2002., Hosted by Prof. Toshio Fukuda
  2. ``Performance of Multigrain Parallelization in Japanese Millennium Project IT21 "Advanced Parallelizing Compiler" '', Computer Engineering Seminar, Univ. Illinois at Urbana-Champaign, Sep. 3, 2002. Hoseted by Prof. David Padua
  3. ``Multigrain Parallel Processing in Japanese Millennium Project IT21 "Advanced Parallelizing Compiler" '', Distinguished Lecture ECE Graduate Seminar, Purdue University, Sep. 5, 2002. (Abstract) Hosted by Prof. Rudolf Eigenmann
  4. ``OSCAR Multigrain Parallelizing Compiler for Chip Multiprocessors to High Performance Severs'', Polish-Japanese Institute of Information Technology (PJIIT), Sep., 2002. Hosted by Prof. Marek Tudruj
  5. H. Kasahara, M. Obata, K. Ishizaka, K. Kimura, H. Kaminaga, H. Nakano, K. Nagasawa, A. Murai, H. Itagaki and J. Shirako, ``Multigrain Automatic Parallelization in Japanese Millenium Project IT21 Advanced Parallelizing Compiler'', Proc. of IEEE PARELEC (IEEE International Conference on Parallel Computing in Electrical Engineering), Warsaw, Poland, Sep. 23, 2002.
  6. ``NEDO-1 Advanced Parallelizing Technology'', IPSJ-IEICE FIT2002 (Forum on Information Technology), National Project Introduction, Tokyo Institute of Technology, Sep.27, 2002
  7. ``Multigrain Parallelizing Compiler for Chip Multiprocessors to High Performance Severs'', Intel ICRC, the People's Republic of China, Nov.6, 2002
  8. ``Multigrain Parallelization in Japanese Millennium Project IT21 "Advanced Parallelizing Compiler"'' Chinese Academy of Science (ICT), the People's Republic of China, Nov.7, 2002

Academic Exhibition

  1. Takeshi Kodaka, ``Multigrain Parallel Processing for JPEG Encoding on a Single Chip Multiprocessor'', STARC Symposium 2002, Sep., 2002.